Record EUV energy conversion efficiency demonstrated by Gigaphoton

July 9, 2012
Oyama, Japan--Gigaphoton announced extreme ultraviolet (EUV) conversion efficiency (CE) results of a maximum of 5.2% with an average of 4.7%--a new industry record.

Oyama, Japan--Lithography light source manufacturer Gigaphoton announced extreme ultraviolet (EUV) conversion efficiency (CE) results of a maximum of 5.2% with an average of 4.7%--a new industry CE record for producing EUV energy. The industry target is 5.0% CE to produce a first-generation, high-power production EUV scanner for high-volume, lower-running-cost manufacturing in the lithography industry.

The demonstrated values were achieved with Gigaphoton’s proprietary design, in which the laser-produced-plasma (LPP) light source releases optimum EUV energy from the plasma by first irradiating a droplet of Sn with a short-wavelength, solid-state laser as a pre-pulse, then irradiating the enlarged droplet with the main-pulse CO2 laser. This maximum CE of 5.2% was achieved with 150 mJ CO2 laser energy, making it equivalent to a 175 W EUV output at 100 kHz CO2 laser frequency.

Gigaphoton has been working on the development of LPP light sources for EUV lithography with unique technologies in pursuit of higher output and better cost of ownership since 2002. It has proposed a number of unique solutions, including on-demand supply of Sn target droplets of less than 20 µm diameter, an optimum combination of the short-wavelength solid state laser pre-pulse and the main CO2 laser pulse, as well as debris mitigation and removal using magnetic fields to protect the collector mirror. Gigaphoton says that this achievement of production-level CE is a significant step toward initial shipment of a mass-production model.

"We have succeeded in demonstrating the highest CE in the industry with our proprietary technology. This confirms our R&D path to manufacture a mass-production LPP source that ensures stable operation at higher output and lower running cost. I believe this will further increase momentum for device manufacturers to introduce EUV lithography tools as the next-generation lithography technology. To respond to the request for shipment of the EUV source, we at Gigaphoton have focused on R&D to prepare for our entry into the EUV business," said Hitoshi Tomaru, president of Gigaphoton.

SOURCE: Gigaphoton; www.gigaphoton.com/e/news/20120703.html

Sponsored Recommendations

On demand webinar: Meet BMF’s first hybrid resolution printer, the microArch D1025

July 26, 2024
Join us in this webinar to explore our newest product release - the microArch D1025 - our first dual-resolution printer. Learn more!

Meet the microArch D1025: Hybrid Resolution 3D Printing Technology

July 26, 2024
Meet BMF's newest release, our first dual-resolution printer for the prototyping and production of parts requiring micron-level precision.

Optical Power Meters for Diverse Applications

April 30, 2024
Bench-top single channel to multichannel power meters, Santec has the power measurement platforms to meet your requirements.

Request a quote: Micro 3D Printed Part or microArch micro-precision 3D printers

April 11, 2024
See the results for yourself! We'll print a benchmark part so that you can assess our quality. Just send us your file and we'll get to work.

Voice your opinion!

To join the conversation, and become an exclusive member of Laser Focus World, create an account today!