Homegrown diode lasers compete well against foreign counterparts

Sept. 1, 1998
Broad-based European research and development of semiconductor lasers has resulted in many successful commercial devices and applications.

Laser manufacturers have been quick to meet the challenge of providing European-made diode lasers to compete with other sources. Local development of new technologies combined with the appearance of suppliers in many countries across Europe has meant that the "home-grown" product can now meet many of the demands imposed by the marketplace. These changes have made smaller European companiesand sometimes the larger ones tooready prey for overseas acquisition. Nonetheless, local product development continues at a rapid pace, driven in part by a variety of European and national funding programs (see "European Union funds laser R&D"). This review of semiconductor lasers currently manufactured in Europe covers two major market areasproducts for communications and high-power devices aimed primarily at materials processing.

Optical communications products

Many European manufacturers provide packaged diode lasers aimed at the communications market. Some are owned within EuropeThomson CSF and Alcatel Optronics in France, for example, and Siemens in Germany. Others are owned from outside Europe but still operate as European companiesNortel and Hewlett-Packard in England, for example, and Uniphase Laser Enterprise in Switzerland. All of them, however, rely mostly on technical developments made in Europe. The main development thrusts are towards vertical-cavity surface-emitting lasers (VCSELs) and high-power pump lasers for erbium-doped fiber amplifiers (EDFAs). For a brief discussion of device technologies see "Diode lasers take many forms," below.

Alcatel Optronics (Nozay, France)Alcatel markets a wide range of optoelectronic products for optical communications, including lasers. The company produces both Fabry-Perot (FP) and distributed feedback (DFB) devices based on indium gallium arsenide phosphide (InGaAsP) with outputs at 1300 and 1550 nm. A 1565-nm output distributed-feedback (DFB) laser specifically for wavelength-division multiplexing (WDM) applications is available, as is an EDFA pump laser emitting at 1480 nm.

Coherent Tutcore (Tampere, Finland)Parent company Coherent (Santa Clara, CA) was originally attracted to purchase Tutcore because of its aluminum-free material for high-power laser bars for solid-state laser pumping. Another key development from the company has been the production of high-power gallium indium arsenide phosphide (GaInAsP) based 980-nm output single-laser chips that, when packaged, deliver up to 250 mW of output power in a single spatial mode and with a beam shape optimized for pumping EDFAs. Packaging is not currently offered by Tutcore, although the company is actively seeking new customers for the wafers, processed wafers, chips, and bars that come out of Finland.

Ericsson (Stockholm, Sweden)Ericsson has more than 20 years of experience in fiberoptics and offers a full range of discrete lasers and complete transmitter and receiver modules for transmission bit rates up to 10 Gbit/s. These devices are based on in-house development and manufacturing of laser diodes, PIN diodes, and high-speed custom integrated circuits. The firm`s technology is based on the GaInAsP/InP material system. Ericsson also has a range of dense WDM (DWDM) transmitters and EDFAs, including new systems with gain-flattened performance for use in WDM applications.

GMMT (Caswell, England)Originally a specialist in military systems and components, GEC Marconi Materials Technology is now an established supplier of diode lasers for telecommunications. The company grows and processes the diodes in England and packages all its military systems in-house. Some of its commercial telecommunications devices are packaged outside. The firm`s product range is currently limited, being dictated largely by the components used in its own systems, but GMMT expects to have more products on-line in the near future. The standard GMMT product for the telecommunications market is a 1550-nm-output DFB laser based on strained-layer quantum-well (QW) technology and providing up to 60 mW of output power.

A spin-off from this device is a tunable DFB laser that is being marketed separately for gas sensing. The central lasing wavelength of the device can be adjusted from 1250 to 1600 nm and can be tuned by ۫ nm by varying the drive current. The range of central wavelengths available matches with absorption lines of carbon dioxide (CO2), carbon monoxide (CO), hydrogen sulfide (H2S), and ammonia (NH3), so these lasers can form the basis of a sensor for these gases.

Hewlett-Packard (Ipswich, England)Hewlett-Packard FOC, formerly BT&D Technologies, offers various products and technologies aimed at the communications market. All major industry standards are supported. The company buys basic wafers, produces laser materials by in-house epitaxy and then packages the components. The company`s 1300-nm-output laser is based on a buried heterostructure design. The 1550-nm DFB laser is based on a InGaAsP ridge waveguide (RWG) structure. The distributed feedback is provided by a second-order grating fabricated in the waveguide layer adjacent to the active region. These devices are used in long-haul DWDM systems with the modulation provided externally.

Higher-power 1480-nm-output lasers are fabricated for EDFA pumping and also for the instrumentation market. These devices use a planar buried-heterostructure design, based on a multiple-quantum-well separate-confinement heterostructure (MQWSCH) grown entirely by atmospheric metal-organic vapor-phase epitaxy (MOVPE). The conduction band consists of nine InGaAsP (wavelength 1500 nm) wells with eight InGaAsP barriers (wavelength 1200 nm). The thickness of the quantum wells is adjusted to give the required output wavelength.

Hewlett-Packard started introducing vertical-cavity surface-emitting laser (VCSEL) technology in 1996, and the company now has a new generation of fiberoptic products based on it. The first product offering is a 850-nm-output VCSEL used as a source in a gigabit Ethernet multimode fiber system. Erbium-doped fiber amplifiers are offered with 1480-nm pump lasers.

Mitel (Stockholm, Sweden)In 1996 Canadian semiconductor manufacturer Mitel acquired ABB HAFO, based near Stockholm in Sweden, a company with more than 30 years experience in the design and manufacturing of high-performance optoelectronic devices. Now operating as Mitel Semiconductor AB, the facility provides capacity of 35,000 100-mm wafers per year. Short- and long-wavelength light-emitting diodes (LEDs), lasers, and other components are aimed at the telecommunications and data communications markets, including CATV (cable television) and WDM.

Mitel`s range of lasers includes VCSELs with outputs at 840, 850, and 780 nm based on GaAs/GaAlAs and designed for use with multimode fiber. These devices are targeted at high-speed datacom applications, including gigabit Ethernet. A new multiple-channel VCSEL (840 nm) and matching PIN photodiode array offers four-channel operation with very low cross talk. The company also produces longer-wavelength Fabry-Perot lasers for telecommunications.

Nortel (Paignton, England)The Nortel Optoelectronics manufacturing facility in Paignton is one of the world`s largest suppliers of diode lasers for all types of optical networks. The company offers a full range of lasers for telecom and datacom applications, with output powers around 2 mW. Standard products include 1310- and 1550-nm output RWG lasers with DFB options for narrow-bandwidth operation using MQW GaInAsP technology. Pulsed lasers with output at 800 and 890 nm are suitable for free-space communications, automotive, and range-finding applications. For long-haul telecom applications, very stable temperature-controlled DFB lasers are produced with 10 Gbit/s capability.

Nortel also produces high-power EDFA pump lasers. These 980-nm output lasers are based on a single-quantum-well design in an RWG configuration and can produce powers up to 130 mW, with 95% of this power in the 970- to 985-nm region where the EDFA absorbs. Nortel has patented its fiber-to-laser coupling scheme used in EDFA pumping.

Other recent developments at Nortel include the longest dispersion-compensating grating in the world. The new grating is 2.4 m long and was developed at the Harlow, England, R&D base of Nortel. The grating offers a compact means of compensating for dispersion, which then allows more DWDM channels to be transmitted.

Philips Optical Components (Eindhoven, The Netherlands)Uniphase Corp. (San Jose, CA), recently completed the purchase of Philips Optoelectronics B.V., thereby increasing Uniphase`s holding of European companiesthe company recently acquired Laser Enterprise in Switzerland as well.

Philips produces both telecommunications systems and a separate range of devices aimed at CATV applications. These include 1310-nm output FP lasers delivering up to 1 mW output and DFB lasers providing up to 12 mW. Multiple quantum well 1550-nm output lasers are offered with output up to 30 mW. Telecom lasers have output powers around 1 mW and are offered in DFB versions at 1310 and 1550 nm as well as a MQW DFB system with output between 1557 and 1540 nm for WDM systems. A 1480-nm FP MQW laser at 100 mW is available for EDFA pumping. The company also produces higher-power diode lasers for printing applications.

Pirelli (Milan, Italy)Best known as a supplier of optical fiber, Pirelli has recently entered the laser market by licensing 980-nm EDFA pump laser technology from Laser Enterprise. Pirelli produces EDFAs and has collaborated for some years with Laser Enterprise to supply complete systems consisting of a pump laser (from Switzerland) and fiber amplifier. Four years ago the company decided to go into laser production itself, became a qualified supplier two years ago, and started full production in 1997. Pirelli buys the basic GaAs wafers from Japan and uses its in-house molecular beam epitaxy (MBE) facility to produce the laser chips.

According to Pirelli, the Italian-made 980-nm laser performs as well as the Swiss-made version, with linear power up to 140 mW in the fiber and a specified output power of 120 mW.

Siemens (Regensburg, Germany)The Siemens range of products for telecommunications is based on MQW diode lasers, suitable for bit rates up to 1 Gbit/s. Both 1300-nm and 1500-nm devices are available.

Thomson CSF (Orsay, France)Thomson CSF offers a wide range of telecom lasers. Outputs of 1310 and 1550 nm are available as FP lasers with powers of a few milliwatts, and a 1550-nm DFB laser offers up to 10 mW output power. A 3-mW 840-nm output FP laser has been available for some time. New on the market is an 840-nm VCSEL delivering 1 mW and aimed at the high-speed datacom market. Thomson supplies these devices packaged but will also supply processed wafers, diced or undiced, or provide a nonexclusive transfer of technology to process and manufacture VCSELs. Preliminary details have been released for a MQW 980-nm EDFA pump laser with 100 mW of output power.

Uniphase Laser Enterprise (ULE; Zurich, Switzerland)Formerly part of IBM Zurich, ULE is a specialist producer of 980-nm output EDFA pump lasers (see Fig. 1). The company uses MBE to produce GaAs/AlGaAs and InGaAs/ AlGaAs material systems. The RWG structure is chemically etched onto the substrate. The technical breakthrough made by the company in the late 1980s was development of a mirror passivation process, E2, that dramatically extends the lifetime of 980-nm-output lasers. This prevents catastrophic optical facet damage and allows the lasers to be run at higher output powers for longer periods.

Uniphase intends to leverage and extend the ULE core technology to produce reliable, high-power laser products over the full 800-1100-nm InAlGaAs wavelength range. At the February 1998 Optical Fiber Communication Conference (San Jose, CA), the company announced a junction-side-up 500-mW-output, 30-µm ridge width laser technology for the telecom market, the first of many planned new products. The 500-mW laser is a conservative extension of the core 980-nm narrow stripe technology having an identical process, including E2, with only the ridge mask step changed.

With its first step into broad-area lasers complete, Uniphase began developing a 1.5-W, 100-µm stripe width junction-side-down laser with qualification planned by spring of 1999. An expanded product line operating over a broad wavelength range will enable the company to compete not just in its main telecom market, but in other markets requiring high-power lasers. The company has just invested more than $25 million in a new fabrication facility that will allow an increase in 980-nm pump laser production to 100,000 chips per annum, while creating the possibility for Uniphase to address other complementary markets, facilitating the company`s diversification into the intersatellite communications, printing, materials processing, and medical laser markets.

High-power diode lasers

Within Europe there are several suppliers of high-power diode lasers, of which Siemens is the largest. Other large manufacturers of these devices include Thomson-CSF, Nortel, Uniphase Laser Enterprise, and Philips. These firms all package at least some diode lasers in Europe, but Coherent Tutcore packages all its high-power devices in the USA. Within Europe there are also companies that specialize in packaging diode lasers produced by other companies into systems for specific uses. High-power lasers supplied specifically for EDFA pumping have already been addressedwhat follows covers very-high-power units for materials processing and solid-state laser pumping (see "Applications of high-power diode lasers").

Siemens high-power diode lasers are based on strained quantum wells in InGaAlAs, fabricated using MOVPE epitaxy. Standard units produce around 1 W continuous-wave (CW) outputup to 10 W pulsedwith wavelengths of 808, 940, and 980 nm. Wall plug efficiency of these products is about 35%. Special wavelengths are available on request. Diode lasers with beam shaping or fiberoptic coupling are also available. Siemens also makes pulsed diode lasers with a peak output power between 10 and 15 W (100-ns pulse duration, 0.1% duty cycle) mounted in a low-cost plastic package for large volumes. For these devices, the standard wavelengths are 850 and 905 nm and the emitting area is 200 × 2 µm. These lasers will operate over a temperature range between -20°C and +85°C and are used in range finding and security applications.

Individual diode lasers can be combined in arrays and bars to produce higher powers, and the Siemens current product range includes unmounted laser bars with powers up to 30 W CW and up to 100 W quasi-CW. Wavelengths, materials, and efficiencies are similar to those for the single-diode products. The unmounted bars are 10 mm × 600 µm × 115 µm in size.

Packaging is provided by other German firms such as Jenoptik Laserdiode (Jena) and Dilas Diodenlaser GmbH (Mainz). Jenoptik Laserdiode is also producing high-power bars made from aluminum-free material produced by Coherent Tutcore. The debate over the advantages or disadvantages of aluminum-free diode lasers continues. Producers of aluminum-free material, such as Tutcore, claim that it offers significant advantages, including higher damage thresholds, reduced leakage current, and longer lifetime.

As part of the Laser 2000 collaboration Siemens has been actively involved in a full program of enhancement of the properties of its high-power lasers. This includes especially the efficiency, reliability (lifetime), and usable optical power density of the lasers. Results on 940- and 808-nm devices1 W from 200-µm-diameter single emitters and 25 W from 10-mm bars mounted by Dilasare impressive. Efficiencies of more than 50% have been obtained with lifetimes exceeding 50,000 h for the 940-nm-output devices and 20,000 h for the 808-nm devices, adding fuel to the aluminum-free materials debate. Using beam combination into a fiberoptic delivery system a power density of 50 kW/cm2 was obtained in a numerical aperture of 0.22. Such high powers are being exploited by Laser 2000 partner Dilas in materials processing, with a system producing 800 W already demonstrated.

Advanced Photonic Systems (APhS), based in Berlin, Germany, was set up in 1995 and introduced commercial high-power diode lasers that were developed as part of the Laser 2000 program. Using a technology developed at the Fraunhofer Institute in Freiburg, Germany, the new lasers produce 1 W of output power at 1020 nmdevices emitting at 940 or 1070 nm are also under development. The company says the laser has excellent beam properties and offers fiber coupling. The company`s primary products are the diode laser system sold under the tradename DioLaswith output power up to 20 Wand the PiLas picosecond diode-based system with pulsewidths of less than 10 ps.

State-owned electronics industry giant Thomson-CSF offers a considerable range of high-power diode lasers in bars and stacked arrays of barsall manufactured and packaged at its facility in Orsay, France. The majority of the products offered are based on MOCVD-produced AlGaAs single-quantum-well lasers emitting between 790 and 830 nm. Continuous-wave output bars with output power up to 20 W are available, with fiber-coupled bars up to 15 W. The newest products in this range have efficiencies as high as 40%.

Quasi-CW devices offer extremely high powers, with efficiencies above 40%. Peak powers of up to 2.5 kW are specified with 200-µs pulses at 100 Hz. A more limited range of InGaAlAs bars are available emitting between 940 and 980 nm. These can produce up to 20 W CW with an efficiency of 35%.

Russian sources

Diode lasers made in Russia have become available to European manufacturers during the past few years. Several suppliers are now making an impact in Europe. Inject, a manufacturer based in Saratov, 500 miles southeast of Moscow, produces high-power diode lasers. The company has been producing semiconductor laser devices since 1973 and has more than 30 product lines, including high-power diode lasers and many systems aimed at the telecommunications market. The company manufactures substrates by liquid phase epitaxy (LPE) and MOCVD and also has in-house facilities for wet and dry chemical etching, photolithography, electroplating, and vacuum deposition of dielectric coating and metal films. Diode lasers are available with CW powers of up to 500 mW at 800-860 nm and 1 W at 960-1000 nm. Stacked arrays with quasi-CW output provide power densities of up to 1 kW/cm2 between 802 and 815 nm.

ATC Semiconductor Devices (St. Petersburg, Russia) produces MBE-grown AlGaAs quantum-well devices. High-power diode lasers with up to 1.5 W of CW output are packaged by German company Bremlas (Bremen) and sold for many applications. Pulsed diode lasers are also available.

The Ioffe Physico-Technical Institute, Russian Academy of Sciences, has spun-out two related companies, FTI-Optronic and IBSG Co. Ltd. (Independent Business and Scientific Group); both produce diode lasers. FTI-Optronic specializes in the spectral range 800-1600 nm, with laser products emitting at 1300 and 1550 nm and laser modules for telecommunications, medical equipment, and other applications. The IBS Group specializes in the spectral range 1600-4800 nm, producing diode lasers, light-emitting diodes, and photodiodes for tunable diode laser spectroscopy, portable LED-based gas analyzers, and other applications.

Materials-processing systems

As the diode laser technology makes inroads into real industrial applications, so industrial systems builders take up the technology. There are several diode-laser-based dedicated materials processing systems already available from Europe. And the European industrial laser giant Rofin-Sinar (Hamburg) announced that it will join in this technology.

In 1997, Rofin-Sinar acquired 80% of the stock in Dilas, which makes high-power diode laser components and systems and was the first company to commercially deliver multikilowatt pulsed diode laser systems for material processing applications. Rofin-Sinar announced this year that it has received funding from the German Federal Ministry for Science and Technology for a multiple-partner project referred to as "Modular Diode Laser-Tools." The project involves more than 20 companies, with Rofin-Sinar as one of the lead partners, and was developed in close cooperation with the Fraunhofer Institute for Laser Technology (ILT) in Aachen, Germany. The aim is to establish and maintain a leadership position for German companies and institutes in the worldwide market of industrial laser materials processing.

The project, which is anticipated to last five years and use a total budget of more than DM 70 million (about $40 million), contains three main topical areaschip technology, laser systems, and applications. Dilas is responsible for coordinating development of the laser systems technology. Rofin-Sinar has no plans to produce the diode lasers itself, but will continue to buy from other firms, including Siemens, another partner in the project. Also involved are Jenoptik Laserdiode, Haas Lasertechnik, Robert Bosch, Thyssen Laser Technik, and Daimler-Benz.

The other project lead partner, ILT, already markets a range of materials processing systems based on its applications research with diode lasers over the past few years. The ILT Dioweld 40 is based on high-power diode-laser bars mounted on water-cooled heat sinks. Optics convert the light from the bars into a circular spot, and the system delivers 40 W via an 800-µm-diameter fiber. Designed primarily for welding plastics, welding speeds of up to 10 m/min have been achieved. The system can also be used for soldering. A second system has been produced for marking. The Diomark 10 is a lower power unit (10 W) and incorporates an acousto-optic modulatorthis system produces pulses at up to a 50-kHz repetition rate with a pulse length of 30 ns.

England-based surgical diode-laser pioneer Diomed Ltd., (Cambridge) expanded into the materials processing arena two years ago. Output powers from 10 to 100 W CW at high intensity (~100 kW/cm2) are currently available with kilowatt-level powers at lower intensity (about 10 kW/cm2) under development. Diomed uses free-space optics to simultaneously image a number of discrete diode lasers, rather than diode bars, into the delivery fiber. By using a technique known as anamorphic magnification of the emission, it is possible to fit the output of many diodes into the aperture of a single focusing lens that images the individual emitters into the linear and angular aperture of the fiber. In principle, many kilowatts of power can be delivered this way.

Swiss company Fisba Optik (St. Gallen) has been collaborating with the Zentrum Fertigungstechnik in Stuttgart (ZFS) to develop applications for its diode laser product. The core of the laser system is the laser head, which contains, depending on the output power required, several high-power diode lasers focused to a focal spot a few tenths of a millimeter in diameter. Fisba is also an optics company, and the high beam quality is achieved using a precision-engineered optical beam-shaping system. Systems available currently include 10-, 50-, and 100-W units. Applications successfully covered in trials include SMD (surface-mounted device) soldering at a fairly low power output (15 W) and surface hardening of various metals at higher powers (see Fig. 2). There has also been a successful demonstration of welding titanium plate with 55 W of power0.08-mm-thick plate was welded at a feed rate of 1 m/min. This application is of interest to the medical components industry. 

Medical systems based on diode lasers

Specialist therapeutic systems based on diode lasers are produced by Diomed and CeramOptec (Bonn, Germany). Diomed holds a significant share of the market for surgical diode lasers and has more than 500 operational systems in more than 25 countries. The medical use of diode lasers was pioneered by Diomed in 1993 with patented technology to couple the laser`s high power into standard medical delivery fibers. Today these lasers are used increasingly for a wide range of minimally invasive and open procedures in operating rooms, outpatient units, and even in a doctor`s office.

Building on the success of its surgical laser systems based on 810-nm-output GaAlAs diodes, Diomed is now applying its experience and technology to the field of photodynamic therapy (PDT). The recently launched Diomed 630 PDT is based on AlGaInP diodes operating at 630 nm and is for use in conjunction with the sensitizing dye Photofrin. This is the first diode laser to receive European regulatory approval for PDT. Systems at 652 and 730 nm are undergoing clinical trials.

Initially a manufacturer of fused silica step-index fibers, CeramOptec has widened its scope to include diode lasers and the design and production of specialty delivery devices for medical and industrial lasers. The CeraLas D provides up to 50 W of 980-nm radiation for general surgery. The CeroLas PDT system provides up to 4 W of 630-nm radiation for PDT.

Diode lasers take many forms

Diode, or semiconductor, lasers are based on materials that emit energy from a forward-biased semiconductor junction as the electrons and holes at the junction recombine. In many materials this energy is in the form of heat, but in others the energy is released as light, and it is these materials that are suitable for use as light-emitting diodes (LEDs) or as diode lasers. The range of possible laser materials includes III-V and (more recently) II-VI semiconductor combinations. The wavelength of light emitted depends on the bandgap of the materials, which is fixed in binary compounds, but can be altered within a limited range in ternary and quaternary compounds by varying the composition.

Diode lasers start life as wafers. Epitaxial growth techniques, usually MBE (molecular beam epitaxy) or MOVPE (metal-organic vapor-phase epitaxy), are used to create the multiple layers required. The wafer is then cleaved to produce individual diodes.

Device designs

In most diode lasers the active regionthat is, the light-producing junctionis in a stripe along the wafer. The stripe is bounded on all four sides to contain the laser action. In gain-guided systems the stripe is bounded by insulating layers that channel the current. In index-guided systems the stripe is bounded by materials with a different refractive index, which forces the active region to become a waveguide and directs the light out to the edge (see Fig. 1). This containment is often accomplished by using another semiconductor material to surround the active material, instead of just changing the doping levels in the same material. 

A common configuration for continuous-wave (CW) operation, for example in optical communications applications, is the buried heterojunction (BH)such as gallium arsenide (GaAs) buried in gallium aluminum arsenide (GaAlAs)which is a double heterojunction and an index guided laser. Another example common in the optical communications industry is indium gallium arsenide phosphide (InGaAsP) buried in indium phosphide (InP). Single heterojunction lasers are also produced; the example analogous to that given above is an active layer of GaAs sandwiched between differently doped GaAs and GaAlAs. Although relatively inefficient because of their high threshold current, these devices can produce high peak powers.

As noted, the wavelength of the light emitted by a junction can be modified by changing the composition, but a more recent technique relies on making the active region thin enough for quantum effects to become important. If the active layer is less than 50 nm thick, the consequent restriction on the electrons produces quantum effects that alter both the structure of the conduction and valence bands and also the probability of a transition between them. Then, changing the thickness of the layer changes the wavelength of light emitted. These thin active regions, quantum wells (QW), also exhibit low threshold current, but the small active volume produces only a low power. Stacking the thin layers produces multiple quantum wells (MQW), which combine the advantages of QWs with higher power.

Another development that has helped produce a wider range of laser diode wavelengths is "strained layer" technology. With this technique materials with lattice mismatches as large as 1% can be used in the same laser devicethe strained layer is very thin, only a few nanometers thick, and takes up the strain of the lattice mismatch without producing flaws.

In a typical edge-emitting laser, the light exits the laser at the cleaved facets and these are coated with reflective materials to produce the laser cavity. A standard cavity with two mirrors is called a Fabry-Perot (FP) designone mirror is fully reflecting at one end of the cavity and a partially reflecting mirror at the other allows light to escape from the cavity. Most diode lasers use this configuration. Some applications require a narrower linewidth than an FP laser can give, however, and then some sort of wavelength selective device must be added. A diffraction grating can provide wavelength selection, providing loss in the cavity at all wavelengths except the chosen one (see Fig. 2). In distributed-feedback (DFB) lasers a diffraction grating structure is fabricated into the waveguide layer alongside the active region. In distributed Bragg reflection (DBR) lasers the design is slightly more complicated and the diffraction grating is placed beyond the active region, acting as a rear mirror element. The typical spectral width of a FP laser is a few nanometers, but a DFB laser can have a spectral width as small as 0.001 nm in a single-frequency output. 

Communications

More recent semiconductor laser sources include vertical-cavity surface-emitting lasers (VCSELs). As the name implies, in these lasers the light is emitted from the surface of the material rather than from its edge (see Fig. 3). These systems are physically small, low cost, and offer advantages such as on-wafer testability, effective fiber coupling, and array fabrication. They also exhibit lower threshold currents, superior power efficiency, and longer lifetime. And because light is emitted perpendicular to the surface, VCSEL mounting is less demanding. These advantages have made VCSELs a significant factor in communications markets, primarily for datacom applications. 

Within the broad range of optical communications, the range of demands on system components makes different technologies appropriate. Although plastic fibers are now being introduced, the optical fibers used in communication networks are made of glass, which has absorption windows around 1.3 and 1.5 µm. Long-distance communication links must, therefore, use light sources with output wavelengths that fall within these windows. Short-distance links can use less expensive shorter-wavelength light sources because the loss in the fiber is not so important. This application includes most LANs (local area networks) and many data communications applications. In be tween lie short-haul telecommunications applications, such as fiber to the home, cable TV, and larger LANs, where other factors may affect the choice of light source.

Data rate through the fiber is another crucial parameter in choosing a light source. Low data rates are easy to accomplish with either LEDs or diode lasers by simply modulating the current to the diode. Higher data rates require more sophisticated encoding techniques, including the use of external modulatorsthese, in turn, require more sophisticated lasers. The heterodyne detection used in coherent detection systems, for example, relies on single-frequency lasersoften DFB devicesand compares the signal laser with a local oscillator. Coherent systems allow high data rates to be transmitted through single-mode fibers over long distances without corruption of signals. Multiplexing can increase the capacity of the optical fibers, and the most commonly used technique is wavelength-division multiplexing (WDM). These WDM systems carry each signal at a slightly different wavelength that can be optically filtered from the others for detection. Single-frequency lasers with coherent detection allow closer channel spacing and consequent higher traffic rates. Dense WDM (DWDM) is used increasingly to transmit even more data.

Amplification of the signal, which is needed for long-haul transmission, is achieved optically rather than electronically with a fiber sectionspliced into the main fiberthat contains an amplifying medium. The most commonly used fiber dopant is the rare-earth element erbium, so the common name for these amplifiers is erbium-doped fiber amplifiers (EDFAs). The EDFA was developed first in England at the University of Southampton and is licensed by most telecommunications companies. High-power diode lasers provide pump energy for EDFAsthe pump wavelength must fall in one of the erbium absorption bands at 980 or 1480 nm. Pump powers up to and above 100 mW are used, and a typical pump laser is based on materials such as GaAs/ AlGaAs for 980-nm diodes.

European Union funds laser R&D

Within Europe there is a wide range of pan-European collaborative research and development (R&D) programs funded by the European Union (EU), as well as projects funded by and within individual countries of the Union. Funding is available both for university departments and for industrial companies within these programs, and many firms have taken advantage of this to advance their own R&D in the area of diode lasers.

Cooperation in the field of Science and Technology (COST) is one such pan-European program, containing 15 research domains of which the largest is COST Telecommunications. Four of its projects cover optical communications, including project number 239, the objective of which is to propose a scenario for the European Ultra-High Capacity Optical Transmission Network.

COST239 links with other European programs aimed specifically at the telecommunications industry, including RACE (Research and Technology development in Advanced Communications technologies), which aims to promote the competitiveness of the European telecommunications industry in order to sustain competitiveness in the rest of the EU industries. The project also links with ACTS (Advanced Communications Technologies and Services), which is the program, finishing this year, that represents the EU`s major effort to support precompetitive R&D for tele communications-related industries.

The European Information technology program (ESPRIT) also funds optoelectronic research in various areas. Within ESPRIT specific technology areas that are of interest include semiconductors, multimedia technology, high-performance computing, parallel computing, and networking. ESPRIT links with ACTS in the areas of multimedia technologies and high-speed networking.

Other pan-European research can be funded under the banner of Brite-Euram, the Industrial and Materials Technologies initiative.

A major German collaborative program reached its first milestone last year. The initial three-year phase of Laser 2000 ended this summer, having invested DM 100 million (about $56.3 million) in R&D projects covering all aspects of high-power diode lasers. More than half the funds were provided by the German government through the Federal Ministry for Science and Technology (BMBF). The partners in Laser 2000 included major industrial companies, such as Siemens, and research groups in German universities, numbering over 30 in total. The commercial partners are already making good use of the research undertaken, and the group has announced plans to extend into a second three-year phase of the program. Photonik II is another BMBF-funded network looking at components for optical telecommunications.

In the UK 1996 saw the launch of an EPSRC (Engineering and Physical Sciences Research Council) program to support research into next-generation diode lasers. This project, comprising three annual calls for proposals with a first-year budget of ٟ million (about $1.6 million), aims to accelerate evaluation of potential new materials, structures, and devices by encouraging collaboration.

More information on funding for EU programs is available on the Internet at the multilingual central CORDIS database site at www.cordis.lu.

Applications of high-power diode lasers

High-power diode lasers and laser arrays and bars with powers from tens to hundreds of watts have already had a significant impact on the medical and materials processing markets. They now look set to compete with sales of traditional lasers for these applications over the next few years.

There are obvious benefits in using diode lasers for industrial and medical applications. The inherently high wall-plug efficiency reduces cooling requirements and allows use of a single-phase electrical supply. The units are small, lightweight, and reliable. Despite these benefits, however, it is only recently that the cost of high-power semiconductor de vices has dropped to a point where diode laser technology can start to compete commercially with more-established laser technology such as Nd:YAG and low-power carbon dioxide (CO2) lasers. These applications are generally not wavelength-specific; the processing effect is primarily thermal so any of the near-infrared diode lasers can be used. The commonly used devices are based on aluminum gallium arsenide (AlGaAs), emitting at about 810 nm, and indium gallium arsenide (InGaAs), emitting at 960 nm.

Materials processing

In the industrial arena cutting, welding, drilling, and marking of nonmetals are all applications that show considerable promise for semiconductor lasers. There is little to differentiate Nd:YAG lasers from diode devices in terms of performance (for these applications), while CO2 lasers are often complementary. Lap welding of a transparent plastic to a colored plastic is straightforward with a diode laser but nearly impossible with a CO2 device, which melts or vaporizes the surface layers only. Conversely, thin polymer films are often impervious to treatment by diode or Nd:YAG lasers but are easily processed with CO2 lasers.

When comparing diode-laser-based welding of plastics with non-laser-based methods such as ultrasonic or heated tools, the laser has a clear advantage in terms of coping with complex geometries. It can also weld heterogeneous combinations such as polymer-metal or thermoplastic elastomers with rigid thermoplastics. The Fraunhofer Institut fur Lasertechnik (ILT; Aachen, Germany) has carried out many trials of plastic welding and has optimized the geometries and penetration depths. Controlling the surface temperature during welding improves weld quality significantly.

Soldering of leaded components and surface-mounted devices is another area in which diode lasers have been used successfully (see Fig. 1). In this application the diode laser has an edge over Nd:YAG systems, and no other lasers are really in contention. Brazing is an emerging application, driven by the need to eliminate flux because of environmental considerations. Ceramic-metal brazing and frit sealing of glasses can be performed at laser output powers as low as 15 W. Marking represents one of the largest potential applications for diode lasers and can be performed on low-thermal-conductivity materials such as plastics, paper, board, glass, ceramics, and composites. Ablative marking of printing plates, one of the first applications of diode lasers, is now routine. 
Diode-laser-based processing of metals is also showing commercial promise. Heat treatment and surface hardening of various metals have been demonstrated at powers as low as 20 W, and a feedback system to control the surface temperature during treatment improves the result in many cases. Thin metals, including titanium, have been successfully welded with only slightly higher power (see Fig. 2). Marking and engraving of surfaces with diode lasers has also been demonstrated. A group at the University of Manchester, Institute for Science and Technology (UMIST; Manchester, England), has assessed diode laser systems for marking and engraving building materials such as marble, granite, clay brick, and ceramic tile. The potential for on-site marking of these materials has been demonstrated with a 60-W diode laser system. 

The same group at UMIST has also demonstrated diode laser sintering of compacted metallic powders for desktop rapid prototyping. Using a 60-W laser emitting at 810 nm (GaAlAs) to sinter mechanically compacted tin/copper (50%Sn/50%Cu) powders the group achieved a layer thickness of 0.2 mm In addition, powder compacting removes part shrinkage.

Medical applications

Pioneered originally by the England-based company Diomed, medical areas where diode lasers have been used effectively over several years include urology, gynecology, ear, nose, and throat (ENT), and general surgery. Newer procedures are being evaluated constantly, and some of the more promising ones include photodynamic therapy (PDT), laser disk decompression, and liver tumor ablation.

The Diomed 630 PDT was the first diode-laser-based system to receive European regulatory approval for use in clinical PDT. At 630 nm, the diode laser`s output wavelength matches the absorption of the sensitizing drug Photofrin, which is the only PDT drug approved anywhere in the world. The laser is being used as a treatment for early and late-stage lung and esophageal cancer. Following intravenous injection, the drug is activated at the tumor site by the 630-nm radiation. This activation produces a toxic form of oxygen that subsequently destroys the cancer cells while minimizing damage to surrounding normal tissue.

Photodynamic therapy is considered to be an important addition to conventional cancer treatments and, in many cases, can be performed on an outpatient basis. The new diode laser systems compare very favorably with the argon-ion/dye-laser combinations used in early clinical trials, and the newer systems are more reliable, easier to use, and significantly cheaper.

One procedure that has proven successful with infrared-output diode laser systems is percutaneous laser disk decompression (PLDD), which is used as a treatment to reduce back and leg pain from damaged spinal disks. Ruptured disks, for example, can have swellings that press on nerves causing pain. The principle of PLDD is to introduce the laser beam via a needle through the skin and into the disk and vaporize material from the inside of the disk thereby reducing the volume and pressure of the disks.

For liver cancers, chemotherapy has not proven widely successful, and the only life-increasing treatment demonstrated to date has been partial removal of the liver. Because of this there is considerable interest in destroying liver tumors in situ, and a group at the National Medical Laser Centre (London, England) has developed a protocol for interstitial laser photocoagulation (ILP). This technique has been applied to both benign and malignant tumors and is particularly suitable for small tumors surrounded by a reasonable amount of normal tissue.

Four or eight bare fiber tips are used, with up to 3 W of output per fiber tip from a 25- or 60-W diode laser system. The fiber tips are put into the body via needles and placed around the tumor using ultrasound for guidance. Laser power is turned on for 300 seconds initially, and the treatment may be repeated with the fibers repositioned. The optimum tumor size for treatment is 2 cm in diameter. The results from experimental trials for this technique have been encouraging and it is now being developed into a routine clinical program.

About the Author

Bridget Marx | Contributing Editor, UK

Bridget Marx was Contributing Editor, UK for Laser Focus World.

Sponsored Recommendations

Optical Power Meters for Diverse Applications

April 30, 2024
Bench-top single channel to multichannel power meters, Santec has the power measurement platforms to meet your requirements.

Request a quote: Micro 3D Printed Part or microArch micro-precision 3D printers

April 11, 2024
See the results for yourself! We'll print a benchmark part so that you can assess our quality. Just send us your file and we'll get to work.

Request a Micro 3D Printed Benchmark Part: Send us your file.

April 11, 2024
See the results for yourself! We'll print a benchmark part so that you can assess our quality. Just send us your file and we'll get to work.

Request a free Micro 3D Printed sample part

April 11, 2024
The best way to understand the part quality we can achieve is by seeing it first-hand. Request a free 3D printed high-precision sample part.

Voice your opinion!

To join the conversation, and become an exclusive member of Laser Focus World, create an account today!