Gas Lasers: Excimer lasers -- 40 never looked better

Nov. 9, 2017
Despite its middle-aged standing in the laser community, the excimer laser is a high-power source of UV and DUV light with no equal in certain critical applications.

LUDOLF HERBST

The first commercial excimer laser was introduced 40 years ago by Lambda Physik (now Coherent; Santa Clara, CA). Interestingly, its developers—Bernd Steyer and Dirk Basting—were both chemists whose main goal was to develop a light source for photochemistry and dye laser pumping. As soon as excimer lasers entered the market, Lambda Physik began to investigate other possible uses for a powerful source of short ultraviolet (UV) light.

While most of the original applications for excimers can largely be relegated to history, many others have evolved. It is fair to say that few other laser technologies have had a greater impact on our daily life than excimers. Laser-assisted in situ keratomileusis (LASIK), photolithography, and display production are three primary applications that illustrate the unique properties of the excimer laser that continue to ensure its legacy as a key enabling technology.

Unique output, unique benefits

Excimer lasers offer a unique combination of UV wavelength output together with high pulse energy—attributes that are key to their expanding use. The short wavelength enables the production of very small features at extremely high precision, based on the fact that optical resolution scales down directly with wavelength because of diffraction. High pulse energy combined with rapid repetition rate enables high process throughput and reduces takt time (the total time it takes to produce a single unit of a product).

While solid-state UV laser technologies have advanced tremendously over the past 40 years, no new technology has arisen to challenge the excimer laser in delivering this particular combination of characteristics.

From a practical standpoint, excimer lasers have expanded their relevance in the market because of extensive efforts by manufacturers to improve their output characteristics and tailor them to the needs of specific applications. For example, the first commercial excimer laser, the Lambda Physik EMG 500, operated at a maximum repetition rate of 20 Hz—now, numerous excimer lasers support multi-kilohertz repetition rates (see Fig. 1). Just as important, laser producers have also substantially improved the service characteristics and total cost of ownership of excimer lasers to keep them competitive with other laser and non-laser technologies.

Vision correction

Every year, more than a million people worldwide undergo LASIK surgery to achieve perfect vision-dramatically improving the quality of life for countless individuals (see Fig. 2).

Introduced in 1989, LASIK was the first major non-scientific application for excimer lasers and still remains the largest single excimer laser application in terms of unit volume. What started with crude experiments on pig eyes has now evolved to more than 10,000 high-precision, compact, tabletop lasers deployed worldwide at eye clinics and LASIK centers.

In the LASIK procedure, excimer laser pulses at 193 nm are used to ablate material from the human cornea to reshape it, thus changing its refractive power and allowing correction for short- or long-sightedness and astigmatism.

To perform LASIK, a thin, hinged flap is surgically lifted (by a femtosecond laser or microkeratome) from the outer surface of the cornea. The excimer laser beam is shaped and projected using fast-scanning mirrors, ablating corneal material in the precise pattern required to correct the individual patient's vision. The flap is then replaced, sealing and protecting the front of the eye.

The precision of the 193 nm argon fluoride (ArF) excimer laser ablation process is essential for the predictability and safety of the LASIK procedure. Plus, the short (nanosecond) pulse width and short wavelength remove corneal material in a relatively cold process called photoablation.

Photolithography

Excimer lasers are also essential to the fabrication of highly miniaturized integrated circuits (ICs). And, the availability of ever-smaller, more powerful and, economical microprocessors has in turn had a profound impact on modern society.

An IC itself consists of numerous electronic components constructed on a single, monolithic semiconductor wafer. The detailed structure of these devices is built up layer by layer in a process called photolithography, with the first step being to coat a semiconductor wafer with a light-sensitive photoresist. A reticle (mask) containing the desired circuit pattern is illuminated with UV laser light and the mask pattern is projected onto the wafer surface, after which the exposed resist is developed and the wafer is chemically etched to physically remove material from the exposed areas to produce the actual features. This process is then repeated as many as 30 or 40 times to produce the entire circuit structure.

The original photolithography light sources were mercury lamps, but the need to produce smaller features drove manufacturers to shorter-wavelength sources (again, because of diffraction)—specifically, excimer lasers.

Both 248 and 193 nm lasers are used for photolithography. In particular, 193 nm excimer lasers enable circuit pattern features down to 10 nm, which is far below the diffraction limit. Achieving this necessitated the development of highly specialized excimers with grating-controlled line narrowing to minimize chromatic aberrations in the imaging optics. A variety of other techniques, including immersion imaging, double or quadruple exposures, and a range of clever optical imaging methods, are used to produce even finer features.

Over the last 25 years, companies including Cymer (San Diego, CA), an ASML company, and Gigaphoton (Oyama-shi, Japan) have made substantial advances in excimer technology tailored for lithography to keep pace with the relentless demands of the chip industry. As a result, oscillator/amplifier configurations with high power (around 100 W) and outstanding performance characteristics are now the standard for this application.

Active spectral narrowing (to much less than 1 pm) and sophisticated dose and linewidth control are widely utilized. And while other technologies, such as extreme ultraviolet (EUV) lithography at 13 nm will complement the excimer laser for the most critical layers at 10 nm, the future of the excimer laser still looks bright for photolithography applications.

Display production

The two most common flat-panel display types for smartphones and other devices are active-matrix liquid-crystal displays (AMLCDs) and active-matrix organic light-emitting diode (AMOLED) displays. Both of these use a backplane consisting of a glass substrate on which a large number of thin-film transistors (TFTs) are patterned to form the actual pixel circuitry. The thin film is made of silicon (typically 50 nm thick) and exposed using photolithography to yield the desired circuit structures.

Large-scale chemical vapor deposition (CVD) is used to create the amorphous silicon (a-Si) layer. Converting this amorphous layer to polycrystalline silicon (poly-Si) improves the electron mobility, enabling small TFTs with excellent electrical characteristics that block less of the backlight, leading to brighter displays that draw less power—particularly critical for small, high-resolution displays. Moreover, the transition to OLED technology comprised of emissive pixels without a backlight sets high demands on TFT performance.

The a-Si layer is transformed into poly-Si by heating it with the excimer laser in a process called excimer laser annealing (ELA; see Fig. 3). Specifically, a pulsed excimer laser line beam is scanned over the a-Si film, which efficiently absorbs the 308 nm excimer output.

This high absorption, combined with the high pulse energy of the excimer laser, makes it possible to achieve near-complete melt of the thin silicon layer with each pulse. The high absorption of the silicon also prevents the UV light from penetrating significantly into the substrate, avoiding thermal stress and permitting the use of economical glass materials for the substrate.

Production occurs on large glass panels, such as Gen 6 (1.5 × 1.8 m), that are subsequently separated into numerous smaller displays. In the ELA system, the rectangular output of the excimer laser is homogenized and reshaped into a long, thin line typically having a length that is equal to the width (or half the width), of the panel. This allows the entire panel to be processed in one (or two, respectively) passes under the laser beam, which is critical to achieving the necessary process utilization and high throughput.

For 20 years, Coherent (and, before that, Lambda Physik) has been the pioneer for ELA. The success of this application is based on considerable advances in high-power excimer laser technology and the UV optical system that provides the line beam homogeneity required for uniform annealing of ever-larger panels (see Fig. 4).

The processing capabilities of excimer lasers, together with ongoing improvements in their performance, reliability, and cost of ownership, continues to make them a critical enabling technology in many other industrial, medical, and scientific processes. For example, excimer laser lift-off processes are key to a new generation of flexible displays, and excimer-laser-produced fiber Bragg gratings (FBGs) are vital to telecommunications, sensing, and many fiber laser designs.

Ludolf Herbst is product line manager at Coherent LaserSystems, Göttingen, Germany; e-mail: [email protected]; www.coherent.com.

Sponsored Recommendations

Request a quote: Micro 3D Printed Part or microArch micro-precision 3D printers

April 11, 2024
See the results for yourself! We'll print a benchmark part so that you can assess our quality. Just send us your file and we'll get to work.

Request a free Micro 3D Printed sample part

April 11, 2024
The best way to understand the part quality we can achieve is by seeing it first-hand. Request a free 3D printed high-precision sample part.

How to Tune Servo Systems: The Basics

April 10, 2024
Learn how to tune a servo system using frequency-based tools to meet system specifications by watching our webinar!

Precision Motion Control for Sample Manipulation in Ultra-High Resolution Tomography

April 10, 2024
Learn the critical items that designers and engineers must consider when attempting to achieve reliable ultra-high resolution tomography results here!

Voice your opinion!

To join the conversation, and become an exclusive member of Laser Focus World, create an account today!