BEAM ANALYSIS: Onboard excimer laser metrology advances photolithography

Sept. 1, 2012
A real-time beam metrology module aboard a 193 nm excimer light source can monitor laser beam parameters such as beam divergence, near-field profiles, polarization, energy density, and beam pointing during wafer exposure, improving immersion lithography process control.

THEODORE CACOURIS and NAKGEUON SEONG

Current excimer light sources for semiconductor photolithography are monitored for basic energy, wavelength, and bandwidth parameters needed to image a pattern and expose photoresist on a wafer. However, beam parameters such as pointing and divergence have been characterized and measured with off-line service tools historically. But a real-time beam metrology module aboard Cymer's 193 nm excimer light source can dramatically improve argon fluoride (ArF) immersion lithography quality by optimizing exposure-beam parameters, improving wafer imaging results and long-term wafer performance trends.

Process monitoring and control improvement

The monitoring and control of process parameters at the lithography process-tool level has been used to improve process stability without increasing direct off-line wafer metrology, enabling fast wafer turnaround time and fabrication capital cost reduction.1 Cymer has also identified the need to provide process monitoring capability with higher resolution and additional process parameters at the light-source level to complement monitoring at the lithography cell level.

As ArF immersion lithography processes for most critical layer patterning have continued for multiple technology generations, each lithographic imaging solution must be highly optimized for specific patterns to be printed. Using a variety of imaging solutions for different device patterns also drives different levels of control for process variables. Since the light source wavelength has remained a constant 193 nm for several generations of device technologies, photolithography has relied on techniques to improve resolution such as adding sub-resolution assist features in the mask design that cancel out unwanted diffraction patterns or exposing the resist on the wafer two or more times with complementary masks (double- or multipatterning).

These source-mask optimization (SMO) imaging solutions require tighter control of the illumination pupil than simple spacer double patterning (SDP) with dipole illumination. Very high throughput lithography patterning processes were implemented to reduce the cost of multiple patterning processes commonly used for memory device production. Smaller feature sizes and lower k1 imaging processes (k1 defined as the Rayleigh constant in the classic Rayleigh resolution criterion equation) at the latest technology nodes drive tighter control of more process performance parameters for lithography tools versus previous nodes. Process parameter monitoring and tool control can reduce process errors and improve process control, minimizing the need for additional metrology capital equipment.

Laser parameter monitoring

Lithography light sources have previously relied on three major metrics to determine if the quality of the light produced meets wafer-production requirements: center wavelength, bandwidth, and energy. The importance of monitoring and controlling light-source bandwidth has been reported in various papers, and is particularly apparent when noticeable changes of illumination pupil images are sometimes reported after laser service events that require laser beam alignment (see Fig. 1).2-5

In order to achieve sub-32 nm imaging resolution using 193 nm excimer light sources, the photolithography scanner generates an illumination pattern at the pupil of the projection system that can take the form of an annular shape, a dipole, quadrupole, or higher-order pattern. Illumination pupil fluctuations can induce changes of wafer critical dimension (CD)—a significant issue for current lithography processes since the stability of the illumination pupil is one of the most critical parameters for optical proximity correction (OPC) stability.

When an illumination pupil change is observed, it triggers (in most cases) a lengthy scanner illumination recalibration process that can cause several hours of production downtime. In general, laser beam parameters are measured and characterized with off-line field service tools after the laser service event. But because off-line beam metrology does not provide beam parameter information before the service event, it cannot be used as an absolute reference (due to position inaccuracy during insertion and removal) and cannot provide real-time information during normal operation of the laser.

Cymer's on-board metrology enables real-time measurement of beam parameters with high accuracy and with a fixed reference point. These data are integrated in SmartPulse software with monitoring, reporting, and analysis capabilities and can correlate all laser optical parameters to wafer-level performance.

The onboard advantage

Real-time monitoring capabilities extend the existing Cymer XL light source metrology to include beam-parameter measurements in addition to the already available data on energy, wavelength, and bandwidth. For example, in situ two-dimensional (2D) imaging obtains both near-field and far-field images of the light source beam simultaneously (see Fig. 2). These images are used both qualitatively to provide additional information about the light source and quantitatively to derive standard beam parameter metrics such as divergence and peak energy density.

Divergence is measured by converting the 2D image into two 1D plots (one for horizontal and one for vertical measurements) and deriving a physical size related to the pixel counts that in turn is correlated to an angle in milliradians. Peak energy density (measured by selecting the highest-intensity pixel) is important in identifying any dramatic shifts in uniformity that can affect downstream illumination characteristics as well as cause damage to optical materials.

The on-board beam parameter metrology also includes pointing measurements that provide an absolute reference to the interface between scanner and light source. Pointing is measured using a quadrant photodetector and provides a reference especially during service events where optical component replacements can lead to beam shifts. Color-coded data indicate a time-based snapshot of a laser beam to track pointing performance, wherein step changes are typically the result of a service event.

Finally, polarization ratio is also constantly measured by the metrology unit. This is accomplished by splitting the beam and sending one path into a polarizer and photodetector while the other path goes to a second unpolarized photodetector. The on-board local controller processes data from the metrology unit into high-resolution data that characterize the light source performance with an aim toward better understanding potential wafer variability. The SmartPulse data is summarized and associated to wafer exposure events, filtering out non-beam-exposure data such as calibration sequences typically performed by the scanner.

This analytical package includes statistical analysis, and alarming capabilities and data can be sent to a fab-wide fault detection and classification (FDC) infrastructure—the first time that such beam parameter data can be directly correlated to wafer performance to support improved process control and yield.

Field application

Multiple on-board metrology modules installed in the field and monitored for several months showed that long-term drift of all beam parameters was minimal and local variation depended on the operating conditions of each tool as a function of product type and tool utilization. As expected, the local variation was reduced after laser modules were replaced and laser performance was optimized.

While in general the scale of local variation was within an acceptable range compared to control requirements, a significant shift of vertical pointing was observed on one tool after a module exchange service event with the shift exceeding the allowed limit value. This could not have been identified without the new on-board beam parameter metrology (see Fig. 3). When the measured data were filtered for 30% to 40% duty cycle operation-which represents typical wafer exposure operation excluding maintenance and calibration events-the local variation was reduced by about 40%. SmartPulse captures light source performance parameters during wafer exposure operation only to maximize the correlation of wafer CD performance to recorded light source performance parameters.
To understand the impact of service on beam parameters, two service events were compared (see Fig. 4). During Service A, no attempt was made to adjust optical alignment using the on-board beam parameter metrology and resulted in unacceptable shifts in one beam parameter (vertical pointing). A shift in the illumination pupil was also confirmed. In contrast, when adjustments to the optical alignment were made using the on-board beam parameter metrology as a guide, the change in the beam parameter was minimized to within normal local variation levels for Service B.

While laser servicing requires manual adjustments to beam alignment for optimum efficiency and performance, this is typically guided using external, removable metrology that does not serve as a consistent, absolute reference. But since Cymer's on-board metrology is built in, it provides an absolute reference across service events and provides real-time guidance to the service engineer to reproduce the same characteristics as before service. Reducing the beam parameter variation after each service event will minimize the illumination pupil variation, with the possibility of reducing scanner recalibrations and ultimately improving lithography tool availability for wafer production.

REFERENCES

1. "Factory Integration" chapter, International Technology Roadmap for Semiconductors (ITRS) 2010 update; www.itrs.net/Links/2010ITRS/Home2010.htm.
2. T. Brunner et al., "Laser bandwidth and other sources of focus blur in lithography," Optical Microlithography XIX, San Jose, CA, Proc. SPIE, 6154, 323–330 (2006).
3. K. Huggins et al., "Effects of laser bandwidth on OPE in a modern lithography tool," Optical Microlithography XIX, San Jose, CA, Proc. SPIE, 6154, 351–362 (2006).
4. N. Seong et al., "Analyses of the effect of laser bandwidth on imaging of memory patterns," Lithography Asia 2008, Taipei, Taiwan, Proc. SPIE, 7140, 714042-1 to 714042-7 (2008).
5. S. Rokitski et al., "Flexible 60-90W ArF light source for double patterning immersion lithography in high volume manufacturing," Lithography Asia 2009, Taipei, Taiwan, Proc. SPIE, 7520, 752013-1 to 752013-7 (2009).

Theodore Cacouris is director, OnPulse product marketing, and Nakgeuon Seong is senior director of technical marketing at Cymer, 17075 Thornmint Ct., San Diego, CA, 92127; e-mail: [email protected]; www.cymer.com.

Sponsored Recommendations

Request a quote: Micro 3D Printed Part or microArch micro-precision 3D printers

April 11, 2024
See the results for yourself! We'll print a benchmark part so that you can assess our quality. Just send us your file and we'll get to work.

Request a free Micro 3D Printed sample part

April 11, 2024
The best way to understand the part quality we can achieve is by seeing it first-hand. Request a free 3D printed high-precision sample part.

How to Tune Servo Systems: The Basics

April 10, 2024
Learn how to tune a servo system using frequency-based tools to meet system specifications by watching our webinar!

Precision Motion Control for Sample Manipulation in Ultra-High Resolution Tomography

April 10, 2024
Learn the critical items that designers and engineers must consider when attempting to achieve reliable ultra-high resolution tomography results here!

Voice your opinion!

To join the conversation, and become an exclusive member of Laser Focus World, create an account today!