OPTICS FOR SCANNING: Multilayer mirrors enable next-generation EUV lithography

Feb. 26, 2009
Despite its system complexity, extreme-ultraviolet lithography (EUVL) is likely to be the next-generation technology to achieve 22 nm resolution and beyond, if sufficient source power can be provided to enable high stage-scanning speed and throughput.

NIGEL FARRAR, DAVID BRANDT, AND NORBERT BÖWERING

Extreme ultraviolet (EUV) lithography is likely to be the next-generation lithography technology for 22 nm resolution and beyond, promising competitive cost of ownership if high source power can be provided to enable high stage-scanning speed and high throughput. High source power is required to compensate for low overall optical transmission of the exposure system due to the use of reflective optics, and because it is difficult to achieve good resist resolution and line-edge roughness with a low exposure dose. The mirror coatings comprise silicon-molybdenum multilayers, which, at near-normal incidence, have a maximum reflectivity of about 70% per mirror. For a six-mirror projection lens, the transmission is about 12%, and when the total optical train—including the illuminator optics and a reflective mask—is considered, the transmission becomes less than 1%.

In the lithography system, wafers are exposed by scanning the stage under a lens. When the system is power-limited, the scan speed must be reduced to allow a sufficient number of pulses to accumulate to meet the resist dose requirements. Higher source power allows the scanning speed—and thus system throughput—to be increased to a stage-limited maximum, which provides the most cost-effective operation.

Source requirements

An EUV light source provides the desired 13.5 nm output energy from a high-temperature plasma created by heating a target material. The plasma can be heated with electrical energy, as in a discharge-produced plasma (DPP) source, or with optical energy, as in a laser-produced-plasma (LPP) source.

The preferred approach for scalability to high-volume manufacturing requirements is LPP, which uses the high-efficiency combination of a carbon dioxide (CO2) laser and tin target material. Peak conversion efficiency is currently in excess of 3% for tin droplet targets, an efficiency rate that provides a total output-power capability of more than 300 W when using an 11 kW drive laser. A key challenge is to collect as much of this energy as possible and direct it to the intermediate focus (IF) position—the interface between the light source and the exposure system. Cymer plans to deliver initial pilot sources in 2009 with targeted output power of more than 100 W at IF. The product roadmap includes two further generations of sources for high-volume manufacturing with power up to 400 W.

One of the key advantages of the LPP source geometry is high collection efficiency, which is realized by using a large, more than 600-mm-diameter, high-reflectance ellipsoidal mirror with multilayer coating at near-normal incidence. The plasma is formed at one focus of the ellipsoid and the output light is refocused to the second focus point, which is the IF position. The ability of the optic to collect over a 5-steradian solid angle is enabled by the small plasma size of the LPP source, as well as the absence of obscurations that results from the relative isolation of the plasma from the nearest chamber components. An advantage of the use of a multilayer mirror as the collector is that it provides some prefiltering of the spectrum to minimize unwanted out-of-band radiation.

Mirror materials and fabrication

The mirror blank is made of a substrate material with good mechanical and thermal stability, suitable for super-polishing and compatible with the multilayer coating materials. Large substrates are first cut, machined, and shaped, and then go through a series of high-precision grinding and polishing steps. Manufacturing processes from previous applications of large aspherical reflectors were adapted to super-polish the mirror substrates to a high degree of smoothness, characterized by high-spatial-frequency roughness (HSFR) of below 0.2 nm. Surface smoothness is the key to achieving the high reflectivity needed in this application. No substantial roughness increase is observed after the subsequent coating steps.

The mirror coating is a silicon-molybdenum multilayer stack, similar to that used for the EUV projection optics, with spacing designed for high reflectance at 13.5 nm. The peak reflectance of better than 55% meets the target performance for the multilayer-coated collector mirror (see Fig. 1).

However, it is less than the typical reflectivity of projection-lens mirrors for two reasons. One key reason is that barrier layers are introduced between the silicon and molybdenum layers to prevent interdiffusion, which results in reflectivity loss, at the elevated temperatures expected during operation of the source. After an annealing process to stabilize the layers, the interface-optimized coating shows no loss of reflectivity during long-term testing at 500°C for over 100 hours. The other reason is that the multilayer spacing is not constant, but graded from center to edge of the mirror by about 5%. This grading provides uniform reflectance as the incident angle of the light varies from 5° to 17° across this subaperture mirror. The effectiveness of this process is demonstrated by the uniformity of both the position and magnitude of the reflectance curve peak measured across the mirror diameter. Full-size mirrors have completed the full manufacturing process and are currently under integration into the source (see Fig. 2).

Improving throughput

To deliver low cost of ownership for the EUV lithography exposure system, it is very important to maintain high throughput over time. Consistent high throughput requires stable, high-power output from the source, which is ensured by maintaining high conversion efficiency and high collection efficiency over the source's operating life. Closed-loop control of the position of the laser beam and tin droplets means that each laser pulse is focused and targeted onto the corresponding droplet to deliver the maximum conversion efficiency and energy output. This control loop also ensures that the plasma is consistently created at the focus of the collector mirror for maximum collection efficiency.

Stable power output also requires that the collection efficiency remains high over long periods of operation, which makes it essential to maintain high mirror reflectivity. Because the collector mirror is the most expensive consumable item in the source and has a very long manufacturing cycle, it drives a lifetime requirement of one year of operation before replacement or reconditioning of the mirror. To accomplish the lifetime requirement, the mirror must be protected from mechanisms that degrade reflectivity, such as deposition of tin microparticles, erosion caused by impact of high-energy ions and neutral atoms, and deposition of tin vapor. Each process requires a separate mitigation technique, although the most important factor is minimization of tin droplet size, which reduces the amount of tin debris within the chamber while also reducing the demands on other debris-mitigation schemes. Current pilot sources are operating with droplet diameters of 30 µm, but diameters will be reduced to 10 µm in future systems.

Erosion due to high-energy ions is one of the most serious mechanisms for potential reflectance loss. Cymer has developed a technology that reduces both the ion energy (by an order of magnitude) and the ion flux (by four orders of magnitude). These reductions slow erosion of the multilayer coating, to a level that, together with the addition of several hundred sacrificial layers in the silicon-molybdenum coating, leads to a mirror reflectance that is projected to meet requirements over one year of operation.

Tin deposition is the other major concern for mirror lifetime—a layer of tin only approximately 1 nm thick on the mirror surface results in more than 10% reflectivity loss.

Debris-mitigation technologies have been developed to address each of the degradation mechanisms. As the power of future-generation sources increases, so will the demand on these debris-mitigation schemes (see Fig. 3).

The availability of high-power, long-lifetime sources will enable the successful adoption of EUV lithography. Cymer is developing LPP sources designed to provide high-efficiency operation with more than 100 W power output. Current large-diameter (more than 600 mm) collector designs will be extended to a 5.5-steradian collection angle to provide higher collection efficiency in support of future sources delivering power output of more than 400 W, enabling the high scan speeds essential for high-throughput, cost-effective use of EUV lithography in high-volume manufacturing.

Nigel Farrar is vice president of lithography applications, David Brandt is senior director of EUV product marketing, and Norbert Böwering is EUV scientist at Cymer, 17075 Thornmint Court, San Diego, CA 92127; e-mail: [email protected]; www.cymer.com.

Sponsored Recommendations

Request a quote: Micro 3D Printed Part or microArch micro-precision 3D printers

April 11, 2024
See the results for yourself! We'll print a benchmark part so that you can assess our quality. Just send us your file and we'll get to work.

Request a Micro 3D Printed Benchmark Part: Send us your file.

April 11, 2024
See the results for yourself! We'll print a benchmark part so that you can assess our quality. Just send us your file and we'll get to work.

Request a free Micro 3D Printed sample part

April 11, 2024
The best way to understand the part quality we can achieve is by seeing it first-hand. Request a free 3D printed high-precision sample part.

How to Tune Servo Systems: The Basics

April 10, 2024
Learn how to tune a servo system using frequency-based tools to meet system specifications by watching our webinar!

Voice your opinion!

To join the conversation, and become an exclusive member of Laser Focus World, create an account today!